SystemVerilog

Un article de Wikipédia, l'encyclopédie libre.

SystemVerilog est à la fois un langage de description, se basant pour cela sur Verilog, et un langage de vérification de matériel, permettant de faire de la simulation et vérification. Il est standardisé sous le numéro IEEE 1800 par l'Institute of Electrical and Electronics Engineers (IEEE)[1].

Historique[modifier | modifier le code]

En 2020, le langage en était à la septième révision en 20 ans avec IEEE 1800-2017 publié en février 2018. Le développement de la norme est fait de façon transparente et collaborative, via le site accellera.mantishub.io[1],[2]

Description[modifier | modifier le code]

Les fichiers de fonctions de SystemVerilog on l'extension .sv pour la partie logique et .svr pour la partie réelle[3]. Les fichiers d'entête ont l'extension .svh[réf. souhaitée].

Le logiciel libre de simulation, Verilator supporte ce langage[4].

Annexes[modifier | modifier le code]

Références[modifier | modifier le code]

  1. a et b (en) Dave Rich, « Time for Another Revision of the SystemVerilog IEEE 1800 Standard », sur Siemens,
  2. (en) Jonathan Bromley, « IEEE Std.1800-2017 for SystemVerilog: What Changed? », sur Verilab.com,
  3. 2018, p. 95.
  4. (en) Norbert Kremeris, « Verilator Pt.2: Basics of SystemVerilog verification using C++ », sur ItsEMbeddedd.com,

Bibliographie[modifier | modifier le code]

Liens externes[modifier | modifier le code]